Was ist Code Converter: Konvertierung von Binär zu Gray Code und von Gray Code zu Binär

Versuchen Sie Unser Instrument, Um Probleme Zu Beseitigen





In Computern müssen wir Binär in Grau und Grau in Binär konvertieren. Die Konvertierung kann unter Verwendung von zwei Regeln erfolgen, nämlich der Konvertierung von Binär in Grau und der Konvertierung von Grau in Binär. Bei der ersten Konvertierung entspricht das MSB des Graucodes ständig dem MSB des Binärcodes. Zusätzliche Bits der Graucode-Ausgabe können mithilfe des EX-OR-Logikgatter-Konzepts zu den Binärcodes an diesem aktuellen Index sowie zu dem früheren Index gelangen. Hier ist MSB nichts anderes als das wichtigste Bit. Bei der ersten Konvertierung entspricht das MSB des Binärcodes ständig dem MSB des jeweiligen Binärcodes. Zusätzliche Bits der Ausgabe des Binärcodes können über das EX-OR abgerufen werden Logikgatter Konzept durch Überprüfung der Gray-Codes an diesem aktuellen Index. Wenn das aktuelle Graucode-Bit Null ist, kopieren Sie danach den früheren Binärcode und kopieren Sie auch die Rückseite des früheren Binärcode-Bits. Dieser Artikel beschreibt eine Übersicht über Codekonverter, einschließlich Binär-Grau-Code-Konverter sowie Grau-Binär-Code-Konverter.

Was ist ein Binärcode?

In digitalen Computern wird der Code, der auf einem Binärzahlensystem basiert, als Binärcode bezeichnet. Es gibt zwei mögliche Zustände wie EIN und AUS, die durch 0 und 1 dargestellt werden. Das digitale System verwendet 10 Ziffern, wobei jede Position der Ziffer die Potenz von 10 angibt. In einem binären System repräsentiert jede Position einer Ziffer eine Potenz von 2.




Ein Binärcodesignal enthält eine Folge von elektrischen Impulsen, die Zeichen, Zahlen und Operationen anzeigen, die ausgeführt werden sollen. Ein Taktgerät wird verwendet, um normale Impulse zu senden, sowie Komponenten wie Transistoren, die ein- und ausgeschaltet werden, um zu fließen, andernfalls werden die Signale blockiert. Im Binärcode kann jede Dezimalzahl im Bereich von 0 bis 9 durch einen Satz von 4 binären Bits / Ziffern angegeben werden. Die grundlegenden 4 arithmetischen Operationen wie Addition, Subtraktion, Multiplikation und Division können alle auf Kombinationen grundlegender boolescher algebraischer Funktionen für Binärzahlen reduziert werden.

Was ist der Gray Code?

Der Gray Code oder RBC (reflektierter Binärcode) oder zyklischer Code ist eine Reihe von Binärzahlensystemen. Der Hauptgrund, diesen reflektierten Binärcode aufzurufen, ist, dass die anfänglichen N / 2-Werte im Vergleich zu den letzten N / 2-Werten in umgekehrter Reihenfolge sind. Bei dieser Art von Code werden die beiden aufeinanderfolgenden Werte durch ein einzelnes Bit von Binärziffern geändert. Diese Codes werden hauptsächlich in der allgemeinen Reihe von Binärzahlen verwendet, die von der Hardware generiert werden.



Die Binärzahlen können Fehler verursachen, sobald der Übergang von einer einzelnen Zahl zu einer fortlaufenden erfolgt. Diese Art von Code löst dieses Problem im Wesentlichen, indem einfach ein Bit geändert wird, sobald der Wechsel zwischen den Zahlen erfolgt ist.

Diese Art von Code ist extrem leicht und hängt nicht von dem Ziffernwert ab, der in der gesamten Position angegeben ist. Diese Art von Code wird auch als zyklischer Variablencode bezeichnet, da die Änderung eines einzelnen Werts in seinen aufeinanderfolgenden Wert nur eine Änderung eines einzelnen Bits beinhaltet.


Dies ist am beliebtesten für die Einheitsentfernungscodes, jedoch nicht für arithmetische Funktionen geeignet. Die Anwendungen von Gray-Code umfassen Analog-Digital-Wandler und digitale Kommunikation zur Fehlerkorrektur. Erstens ist grauer Code nicht leicht zu verstehen, wird jedoch sehr leicht zu erkennen.

Binär-Grau-Code-Konverter

Binärcode ist eine sehr einfache Darstellung von Daten mit zwei Werten wie 0 und 1 und wird hauptsächlich in der Computerwelt verwendet. Der Binärcode kann ein hoher (1) oder niedriger (0) Wert oder sogar eine Änderung des Werts sein. Gray-Code oder reflektierter Binärcode schätzt die Binärcode-Natur, die mit Ein- und Ausschaltindikatoren angeordnet ist, die normalerweise mit Einsen und Nullen gekennzeichnet sind. Diese Codes werden verwendet, um die Klarheit sowie die Fehleränderung in Binärform zu überprüfen Kommunikation .

Die Konvertierung von Binärcode in Graucode kann mit a erfolgen Logikschaltung . Der Graucode ist ein nicht gewichteter Code, da für die Position des Bits kein bestimmtes Gewicht zugewiesen ist. Ein n-Bit-Code kann erreicht werden, indem ein n-1-Bitcode auf einer Achse nach den Reihen von 2 reproduziert wirdn-1sowie Platzieren des höchstwertigen Bits von 0 über der Achse mit dem höchstwertigen Bit von 1 unter der Achse. Die schrittweise Generierung von Graucode ist unten dargestellt.

Logikschaltung zur Umwandlung von Binärcode in Gray-Code

Logikschaltung zur Umwandlung von Binärcode in Gray-Code

Diese Methode verwendet ein Ex-ODER-Gatter, um zwischen den Binärbits zu arbeiten. Das folgende beste Beispiel ist sehr nützlich, um die Konvertierung von Binär in Grau zu kennen. Nehmen Sie bei dieser Konvertierungsmethode das MSB-Bit der aktuellen Binärzahl herunter, da das Primärbit oder MSB-Bit der Gray-Code-Nummer der Binärzahl ähnlich ist.

Um die geraden grau codierten Bits zum Erzeugen der entsprechenden grau codierten Ziffer für die angegebenen Binärziffern zu erhalten, addieren Sie die primäre Ziffer oder die MSB-Ziffer der Binärzahl zur zweiten Ziffer und notieren Sie das Produkt neben dem primären Bit des grauen Codes und Fügen Sie das nächste Binärbit zum dritten Bit hinzu und notieren Sie das Produkt neben der 2ndein bisschen grauer Code. Befolgen Sie diese Prozedur in ähnlicher Weise bis zum endgültigen Binärbit und notieren Sie die Ergebnisse in Abhängigkeit von EX-OR-Logikoperation um die entsprechende grau codierte Binärziffer zu erzeugen.

Beispiel eines Binär-Grau-Code-Konverters

Angenommen, die Binärcode-Ziffern sind bo, b1, b2, b3, während der bestimmte Gray-Code auf der Grundlage des folgenden Konzepts erreicht werden kann.

Beispiel für die Codekonvertierung

Beispiel für die Codekonvertierung

Aus der obigen Operation können wir schließlich die Grauwerte wie g3 = b3, g2 = b3 XOR b2, g1 = b2 XOR b1, g0 = b1 XOR b0 erhalten.

Konvertierungsbeispiel

Konvertierungsbeispiel

Nehmen Sie zum Beispiel den Binärwert b3, b2, b1, b0 = 1101 und finden Sie den Graucode g3, g2, g1, g0 basierend auf dem obigen Konzept

g3 = b3 = 1

g2 = b3 XOR b2 = 1 XOR 1 = 0

g1 = b2 XOR b1 = 1 XOR 0 = 1

g0 = b1 XOR b0 = 0 XOR 1 = 1

Der endgültige Graucode für den Wert von Binär 1101 ist 1011

Binär-Grau-Code-Konvertertabelle

Dezimalzahl

Binärcode

Gray Code

0

00000000
10001

0001

zwei

0010

0011

3

00110010

4

0100

0110

50101

0111

6

01100101
70111

0100

8

10001100

9

1001

1101

101010

1111

elf

1011

1110

12

11001010

13

1101

1011

141110

1001

fünfzehn1111

1000

VHDL-Code für die Konvertierung von Binär- in Gray-Code ist unten angegeben.

BIBLIOTHEK ieee
USE ieee.std_logic_1164.ALL
Entität bin2gray ist
port (bin: in std_logic_vector (3 nach unten auf 0) - Binäreingabe
G: out std_logic_vector (3 nach unten auf 0) - graue Code-Ausgabe
)
bin2gray beenden
Architektur gate_level von bin2gray ist
Start
–Xor Tore.
G (3)<= bin(3)
G (2)<= bin(3) xor bin(2)
G (1)<= bin(2) xor bin(1)
G (0)<= bin(1) xor bin(0)
Ende

Vorteile

Das Vorteile von Binärcode das Folgende einschließen.

  • Der Hauptvorteil der Verwendung von Binärcode besteht darin, dass er einfach durch elektronische Geräte gekennzeichnet wird
  • Binärdaten sind auch sehr einfach zu speichern.
  • Sehr einfach elektronisch und mechanisch zu kennzeichnen und zu steuern.
  • Die Ungleichheit zwischen Darstellungen von Symbolen kann erhöht werden, so dass die Fehlermöglichkeit verringert werden kann.

Das Nachteile des Binärcodes das Folgende einschließen.

  • Die erforderliche Anzahl von Symbolen kann erhöht werden, um eine gegebene Anzahl von Gesamtpositionswertsystemen anzuzeigen.
  • Menschen können sie aufgrund ihrer Länge und der Verwendung von Basis-Zehn-Zahlen standardmäßig nicht sehr effektiv lesen
  • Es werden viele Ziffern verwendet, um eine logische Zahl zu kennzeichnen

Anwendungen

Die Anwendungen von Binärcode umfassen Folgendes.

  • Binärcodes werden in der Telekommunikation sowie beim Rechnen für verschiedene Datencodierungstechniken wie Zeichenfolgen zu Bitfolgen verwendet. Die von diesen Methoden verwendete Breite ist fest, ansonsten Zeichenfolgen mit variabler Breite.
  • Dies wird sowohl in Computersprachen als auch in der Programmierung verwendet, da Computersprachen hauptsächlich von zweistelligen Zahlensystemen abhängen.

Konverter von Grau zu Binärcode

Dieses Verfahren zur Umwandlung von Grau in Binär verwendet auch das Arbeitskonzept des EX-OR-Logikgatters zwischen den Graubits sowie den Binärbits. Das folgende Beispiel mit schrittweiser Vorgehensweise kann helfen, das Konvertierungskonzept von Graucode in Binärcode zu kennen.

Um Grau in Binärcode umzuwandeln, notieren Sie die MSB-Ziffer der Graucode-Nummer, da die Primärziffer oder das MSB des Grau-Codes der Binärziffer ähnlich ist.

Um das nächste gerade Binärbit zu erhalten, wird die XOR-Operation zwischen dem Primärbit oder dem MSB-Binärbit zum nächsten Bit des Graucodes verwendet.

Logikschaltung zur Konvertierung von Grau in Binärcode

Logikschaltung zur Konvertierung von Grau in Binärcode

Um das dritte gerade Binärbit zu erhalten, wird in ähnlicher Weise die XOR-Operation zwischen dem zweiten Bit oder dem MSB-Bit der Binärdatei zum dritten MSD-Bit des Graucodes usw. verwendet.

Beispiel für einen Konverter von Grau zu Binärcode

Nehmen wir an, die Gray Code Die Ziffern g3, g2, g1, g0, während die speziellen Binärcode-Ziffern bo, b1, b2, b3 sind, können basierend auf dem folgenden Konzept erreicht werden.

Konvertierungsbeispiel

Konvertierungsbeispiel

Aus der obigen Operation können wir schließlich die Binärwerte wie b3 = g3, b2 = b3 XOR g2, b1 = b2 XOR g1, b0 = b1 XOR g0 erhalten.

Beispiel für die Codekonvertierung

Beispiel für die Codekonvertierung

Nehmen Sie zum Beispiel den Grauwert g3, g2, g1, g0 = 0011 und finden Sie den Binärcode b3, b2, b1, b0 basierend auf dem obigen Konzept

b3 = g3 = 0

b2 = b3 XOR g2 = 0 XOR 0 = 0

b1 = b2 XOR g1 = 0 XOR 1 = 1

b0 = b1 XOR g0 = 1 XOR 1 = 0

Der endgültige Binärcode für den Wert von grau 0011 ist 0010

Konvertertabelle von Grau zu Binärcode

Dezimalzahl Gray Code

Binärcode

0

00000000

1

0001

0001

zwei0010

0010

3

00110011

4

0110

0100

50111

0101

6

01010110
70100

0111

8

11001000
91101

1001

10

11111010
elf1110

1011

12

10101100
131011

1101

14

10011110
fünfzehn1000

1111

Vorteile

Das Vorteile von Gray Code das Folgende einschließen.

  • Die Logikschaltung kann reduziert werden
  • Wird beim Überqueren der Uhrdomäne verwendet
  • Dient zur Minimierung des Fehlers beim Ändern der Signale von analog zu digital
  • Sobald es in genetischen Algorithmen verwendet wird, kann das Auftreten von Hamming-Wänden reduziert werden.

Nachteile

Die Nachteile von Gray Code umfassen Folgendes.

  • Nicht für arithmetische Funktionen geeignet
  • Anwendbar für wenige präzise Anwendungen

Anwendungen

Die Anwendungen von Gray Code umfassen Folgendes.

  • Es wird in Analog-Digital-Wandlern verwendet
  • In der digitalen Kommunikation zur Fehlerkorrektur
  • Es reduziert Fehler beim Ändern der Signale von analog zu digital.
  • Mathematische Rätsel
  • Minimierung einer Booleschen Schaltung
  • Es wird für die Kommunikation zwischen zwei Taktdomänen verwendet
  • Genetische Algorythmen
  • Encoder positionieren

VHDL-Code für die Konvertierung von Gray-Code in Binär ist unten angegeben.

BIBLIOTHEK ieee
USE ieee.std_logic_1164.ALL
Entität grey2bin ist
Port (G: in std_logic_vector (3 nach unten auf 0) - graue Codeeingabe
bin: out std_logic_vector (3 nach unten auf 0) - Binärausgabe
)
Ende grey2bin
Architektur gate_level von grey2bin ist
Start
–Xor Tore.
bin(3)<= G(3)
bin(2)<= G(3) xor G(2)
bin(1)<= G(3) xor G(2) xor G(1)
bin(0)<= G(3) xor G(2) xor G(1) xor G(0)
Ende

3-Bit-Binär-Grau-Code-Konverter

Angenommen, die Binärziffern in 3-Bit-Binärzahlen wie b0, b1, b2, wo immer das Bit 'b2' das MSB (höchstwertiges Bit) und das Bit 'b0' das LSB (niedrigstwertiges Bit) von Binary ist. Die Ziffern des Gray-Codes sind g0, g1, g2, wobei die Ziffer 'g2' das MSB (höchstwertiges Bit) ist, während die Ziffer 'g0' das LSB (niedrigstwertiges Bit) des Gray-Codes ist.

Binärcode - b2, b1, b0

Gray Code - g2, g1, g0

000

000
001

001

010

011
011

010

100

110
101

111

110

101

111

100

Somit kann der boolesche Ausdruck für einen Binär-Grau-Code-Konverter unter Verwendung von k-map gelöst werden. Wir können g2 = b2, g1 = b1⊕ b2 & g0 = b0 ⊕ b1 erhalten. Ebenso können wir die n-Bit-Binärzahl (bnb (n-1)… b2 b1 b0) in Gray-Code (gng (n-1)… g2 g1 g0) ändern.

Für LSB (niedrigstwertiges Bit)

g0 = b0⊕b1

g1 = b1⊕b2

g2 = b1⊕b2

g (n-1) = b (n-1) ≤ bn, gn = bn.

Konvertieren Sie beispielsweise 111010-Binärzahlen in Gray-Code.

Also basierend auf dem obigen Algorithmus,

g0 = b0 ≤ b1 => 0 ≤ 1 = 1

g1 = b1 ≤ b2 = 1 ≤ 0 = 1

g2 = b2 ≤ b3 = 0 ≤ 1 = 1

g3 = b3 ⊕ b4 = 1⊕1 = 0

g4 = b4 ≤ b5 = 1 ≤ 1 = 0

g5 = b5 = 1 = 1

Die Konvertierung von Binärcode in Graucode lautet also - 100111.

Binär-Grau-Code-Konverter mit IC 7486

Die Konvertierung von Binär in Grau und Grau in Binär kann mit IC7486 erfolgen. Die dafür erforderlichen Komponenten sind ein Steckbrett, Verbindungskabel, LEDs, Widerstände, XOR (IC7486), Druckschalter und eine Batterie für die Stromversorgung.

Das Paket von IC7486 enthält hauptsächlich vier XOR-Logikgatter, wobei die Pins 7 und 14 die Versorgung aller Logikgatter bereitstellen. Die O / Ps eines einzelnen XOR-Gatters sind mit dem Eingang des anderen Logikgatters innerhalb desselben oder eines anderen Chips verbunden, bis sie sich einen ähnlichen Erdungsanschluss teilen.

Es geht also um den Konverter von Binär zu Grau-Code und den Konverter von Grau zu Binärcode. Aus den obigen Informationen können wir schließlich schließen diese Konverter spielen eine wesentliche Rolle bei der Durchführung verschiedener Operationen von Digitale Elektronik sowie Kommunikation zwischen verschiedenen Zahlensystemen. Die oben diskutierten Beispiele für Codekonverter können hilfreich sein, um das Konzept für diese Berechnungen zu verstehen. Hier ist eine Frage an Sie, was sind die Anwendungen von Gray Codes?